Fill in the fоllоwing VHDL sо thаt it will synthesize to the exаct circuit shown. Note thаt every register has a clock and reset signal that is not shown. Ignore overflow/carry from the adders. Breakup your answer into the different regions shown by preceding your code for each region with the corresponding region name. Use the "pre-formatted" style to make it easier to grade the code library ieee;use ieee.std_logic_1164.all;use ieee.numeric_std.all;entity example is generic ( width : positive := 16); port ( clk : in std_logic; rst : in std_logic; in1, in2, in3, in4 : in std_logic_vector(width-1 downto 0); out1, out2 : out std_logic_vector(width-1 downto 0));end example;architecture BHV of example is// REGION 1begin process(clk, rst) begin if (rst = '1') then // REGION 2 elsif (clk'event and clk = '1') then // REGION 3 end if; end process; // REGION 4end BHV;